package async

  1. Overview
  2. Docs
Legend:
Library
Module
Module type
Parameter
Class
Class type
val names : string list
val scheduler : ([< `Read | `Set_and_create ], 'a t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm
val is_wall_clock : ([< `Read | `Set_and_create ], 'a t, bool) Fieldslib.Field.t_with_perm
val handle_fired : ([< `Read | `Set_and_create ], 'a t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm
val most_recently_fired : ([< `Read | `Set_and_create ], 'a t, Event.t) Fieldslib.Field.t_with_perm
val fired_events : ([< `Read | `Set_and_create ], 'a t, Event.t) Fieldslib.Field.t_with_perm
val events : ([< `Read | `Set_and_create ], 'a t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm
val am_advancing : ([< `Read | `Set_and_create ], 'a t, bool) Fieldslib.Field.t_with_perm
val advance_errors : ([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm
val make_creator : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'b -> ('c -> Core_kernel.Error.t list) * 'd) -> am_advancing: (([< `Read | `Set_and_create ], 'e t, bool) Fieldslib.Field.t_with_perm -> 'd -> ('c -> bool) * 'f) -> events: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> 'f -> ('c -> Job_or_event.t Core_kernel.Timing_wheel_ns.t) * 'h) -> fired_events: (([< `Read | `Set_and_create ], 'i t, Event.t) Fieldslib.Field.t_with_perm -> 'h -> ('c -> Event.t) * 'j) -> most_recently_fired: (([< `Read | `Set_and_create ], 'k t, Event.t) Fieldslib.Field.t_with_perm -> 'j -> ('c -> Event.t) * 'l) -> handle_fired: (([< `Read | `Set_and_create ], 'm t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'l -> ('c -> Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) * 'n) -> is_wall_clock: (([< `Read | `Set_and_create ], 'o t, bool) Fieldslib.Field.t_with_perm -> 'n -> ('c -> bool) * 'p) -> scheduler: (([< `Read | `Set_and_create ], 'q t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 'p -> ('c -> Async_kernel__.Types.Scheduler.t) * 'r) -> 'b -> ('c -> 's t) * 'r
val create : advance_errors:Core_kernel.Error.t list -> am_advancing:bool -> events:Job_or_event.t Core_kernel.Timing_wheel_ns.t -> fired_events:Event.t -> most_recently_fired:Event.t -> handle_fired:(Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) -> is_wall_clock:bool -> scheduler:Async_kernel__.Types.Scheduler.t -> 'a t
val map : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> Core_kernel.Error.t list) -> am_advancing: (([< `Read | `Set_and_create ], 'b t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'c t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> Job_or_event.t Core_kernel.Timing_wheel_ns.t) -> fired_events: (([< `Read | `Set_and_create ], 'd t, Event.t) Fieldslib.Field.t_with_perm -> Event.t) -> most_recently_fired: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> Event.t) -> handle_fired: (([< `Read | `Set_and_create ], 'f t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) -> is_wall_clock: (([< `Read | `Set_and_create ], 'g t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'h t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> Async_kernel__.Types.Scheduler.t) -> 'i t
val iter : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> unit) -> am_advancing: (([< `Read | `Set_and_create ], 'b t, bool) Fieldslib.Field.t_with_perm -> unit) -> events: (([< `Read | `Set_and_create ], 'c t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> unit) -> fired_events: (([< `Read | `Set_and_create ], 'd t, Event.t) Fieldslib.Field.t_with_perm -> unit) -> most_recently_fired: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> unit) -> handle_fired: (([< `Read | `Set_and_create ], 'f t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> unit) -> is_wall_clock: (([< `Read | `Set_and_create ], 'g t, bool) Fieldslib.Field.t_with_perm -> unit) -> scheduler: (([< `Read | `Set_and_create ], 'h t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> unit) -> unit
val fold : init:'a -> advance_errors: ('a -> ([< `Read | `Set_and_create ], 'b t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'c) -> am_advancing: ('c -> ([< `Read | `Set_and_create ], 'd t, bool) Fieldslib.Field.t_with_perm -> 'e) -> events: ('e -> ([< `Read | `Set_and_create ], 'f t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> 'g) -> fired_events: ('g -> ([< `Read | `Set_and_create ], 'h t, Event.t) Fieldslib.Field.t_with_perm -> 'i) -> most_recently_fired: ('i -> ([< `Read | `Set_and_create ], 'j t, Event.t) Fieldslib.Field.t_with_perm -> 'k) -> handle_fired: ('k -> ([< `Read | `Set_and_create ], 'l t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'm) -> is_wall_clock: ('m -> ([< `Read | `Set_and_create ], 'n t, bool) Fieldslib.Field.t_with_perm -> 'o) -> scheduler: ('o -> ([< `Read | `Set_and_create ], 'p t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 'q) -> 'q
val map_poly : ([< `Read | `Set_and_create ], 'a t, 'b) Fieldslib.Field.user -> 'b list
val for_all : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> bool) -> am_advancing: (([< `Read | `Set_and_create ], 'b t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'c t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> bool) -> fired_events: (([< `Read | `Set_and_create ], 'd t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> most_recently_fired: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> handle_fired: (([< `Read | `Set_and_create ], 'f t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> bool) -> is_wall_clock: (([< `Read | `Set_and_create ], 'g t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'h t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> bool) -> bool
val exists : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> bool) -> am_advancing: (([< `Read | `Set_and_create ], 'b t, bool) Fieldslib.Field.t_with_perm -> bool) -> events: (([< `Read | `Set_and_create ], 'c t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> bool) -> fired_events: (([< `Read | `Set_and_create ], 'd t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> most_recently_fired: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> bool) -> handle_fired: (([< `Read | `Set_and_create ], 'f t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> bool) -> is_wall_clock: (([< `Read | `Set_and_create ], 'g t, bool) Fieldslib.Field.t_with_perm -> bool) -> scheduler: (([< `Read | `Set_and_create ], 'h t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> bool) -> bool
val to_list : advance_errors: (([< `Read | `Set_and_create ], 'a t, Core_kernel.Error.t list) Fieldslib.Field.t_with_perm -> 'b) -> am_advancing: (([< `Read | `Set_and_create ], 'c t, bool) Fieldslib.Field.t_with_perm -> 'b) -> events: (([< `Read | `Set_and_create ], 'd t, Job_or_event.t Core_kernel.Timing_wheel_ns.t) Fieldslib.Field.t_with_perm -> 'b) -> fired_events: (([< `Read | `Set_and_create ], 'e t, Event.t) Fieldslib.Field.t_with_perm -> 'b) -> most_recently_fired: (([< `Read | `Set_and_create ], 'f t, Event.t) Fieldslib.Field.t_with_perm -> 'b) -> handle_fired: (([< `Read | `Set_and_create ], 'g t, Job_or_event.t Core_kernel.Timing_wheel_ns.Alarm.t -> unit) Fieldslib.Field.t_with_perm -> 'b) -> is_wall_clock: (([< `Read | `Set_and_create ], 'h t, bool) Fieldslib.Field.t_with_perm -> 'b) -> scheduler: (([< `Read | `Set_and_create ], 'i t, Async_kernel__.Types.Scheduler.t) Fieldslib.Field.t_with_perm -> 'b) -> 'b list
module Direct : sig ... end